Added decimation
This commit is contained in:
@@ -13,7 +13,7 @@ module tb_sigmadelta();
|
||||
initial begin
|
||||
$dumpfile("out.vcd");
|
||||
$dumpvars;
|
||||
#1_000_000
|
||||
#2_000_000
|
||||
$finish;
|
||||
end;
|
||||
|
||||
@@ -35,10 +35,17 @@ module tb_sigmadelta();
|
||||
);
|
||||
|
||||
wire signed [15:0] y_q15;
|
||||
lpf_iir_q15_k #(8) lpf(
|
||||
lpf_iir_q15_k #(10) lpf(
|
||||
.clk(clk), .rst_n(resetn),
|
||||
.x_q15(sample_q15),
|
||||
.y_q15(y_q15)
|
||||
);
|
||||
|
||||
wire signed [15:0] decimated_q15;
|
||||
decimate_by_r_q15 #(400, 10) decimate(
|
||||
.clk(clk), .rst_n(resetn),
|
||||
.in_valid(1'b1), .in_q15(y_q15),
|
||||
.out_valid(), .out_q15(decimated_q15)
|
||||
);
|
||||
|
||||
endmodule
|
||||
|
||||
Reference in New Issue
Block a user